< img height="1" width="1" style="display:none;" alt="" src="https://px.ads.linkedin.com/collect/?pid=3968249&fmt=gif" />

FAST TURN PCB is a circuit maker specializing in PCB manufacturing and assembly, with excellent and quick turn PCB fabrication and circuit card assembly capabilities.

Semiconductor research firm Semiengineering: 8-inch wafer capacity shortage will last for years -FAST TURN PCB

Semiconductor research firm Semiengineering: 8-inch wafer capacity shortage will last for years

The surge in demand for mature process chips has led to shortages of both 200mm (8-inch) foundry capacity and 200mm fab equipment that show no signs of abating. In fact, even if new capacity comes online this year, the shortage could last for years, driving up prices and forcing major changes throughout the semiconductor supply chain.

The 200mm foundry capacity and equipment shortage has existed for some time and still exists. For example, 200mm foundry capacity is fully booked in the first half of 2022, according to Gartner. In addition, demand for 200mm foundry capacity will continue to outstrip supply, which means foundry customers need to plan ahead to ensure they get enough 200mm foundry capacity in the future.

There are two types of semiconductor companies that make chips in fabs. Integrated equipment manufacturers (IDM) design their own branded chips and manufacture them in their own fabs. Meanwhile, foundries make chips for other companies in their own fabs. IDM and foundries both have 200mm or 300mm fabs.

200 mm fabs have existed since the 1990s. Many chipmakers operate 200 mm fabs, more than 200 at last count. The 200mm fab uses proven process technology to manufacture devices from 6µm to 110nm nodes. Chips produced at 200 mm fabs are used in all electronic products, including analog, display IC, microcontroller (MCU), power management IC (PMIC) and radio frequency.

Some of these chips can also be manufactured in more advanced 300 mm fabs. These larger fabs process devices from 90nm to 5nm nodes.

Nevertheless, IDM and foundries are seeing unprecedented demand for chips on all nodes. During the COVID-19 outbreak in 2020, countries implemented various measures to mitigate the outbreak, such as stay-at-home orders. Many are working from home or studying remotely, fuelling the rush to buy new PCS and televisions. Then, in 2021, demand for cars, smartphones and other products surged. All this has led to a wave of chip shortages in several markets.

The chip shortage has extended into the first half of 2022. Many believe supply and demand will return to relative normality by mid-2022, but some automotive chips will remain in short supply throughout the year. By mid-2022, however, many chipmakers should have enough 300 mm fab capacity to meet demand.

But 200 mm is a different story. Today, several companies are building new 200 mm fabs. According to SEMI, the industry’s 200 mm fab capacity is expected to increase by more than 300,000 wafers (WPM) per month through 2021, up 5% from 2020. That’s not enough to meet demand.

“The 200 mm capacity of the foundry was sold out in the first half of 2022. I expect the 200 mm foundry capacity squeeze to continue for several years, possibly until 2025, “said Samuel Wang, analyst at Gartner. IDM fared a little better, with 200mm capacity fabs utilising more than 80 per cent, Wang said.

Even if foundry customers are lucky enough to get enough 200 mm or 300 mm capacity in 2022, they face another set of problems. Contract suppliers are expected to raise prices for 200 mm and 300 mm wafers this year.

Semiconductor research firm Semiengineering: 8-inch wafer capacity shortage will last for years
Figure 1: Number of 200mm semiconductor mass production fabs worldwide, source: SEMI

| the rise and fall of 200 mm

In the early days of the semiconductor industry in the 1960s, semiconductor companies used basic equipment to make relatively simple chips in factories. In those days, chipmakers made their own devices.

In the early 1960s, chipmakers used 20 mm (0.75 inch) wafer fabrication equipment in these early plants. Over a period of 30 years, they migrated to fabs with larger wafer sizes, such as 30mm/40mm, 50mm, 75mm, 100mm, 125mm, and 150mm.

By moving to larger wafer sizes, suppliers can produce about 2.2 times as many bare wafers per wafer, allowing them to lower manufacturing costs at their fabs.

Then, in the 1990s, there were 200mm fabs. At the time, it cost $700m – $1.3bn to build a 200 mm fab. Most of a fab’s costs revolve around the equipment used to make chips.

For many years, 200mm fabs were considered state-of-the-art facilities. Then, starting in the 2000s, many chip manufacturers moved from 200 mm to 300 mm fabs. Initially, it would cost $2bn – $3bn to build a 300 mm fab.

In the meantime, 200mm fabs are still in use. But the 200 mm was a forgotten market until 2015, when demand for chips based on more mature processes surged. All of a sudden, the foundry’s 200mm fabs are hovering around 100% utilization. There is a shortage of capacity.

From 2016 to 2021, 200mm capacity is tight. “The 200mm foundry has been operating at close to 100 per cent capacity for the past three to four years,” says Woo Young Han, product marketing manager at Onto Innovation. “They’ve seen a lot of growth in PMIC, display drive ics and MCUS.”

By the end of 2021, most oems have sold out their 200 mm oEMS capacity. “Looking ahead to the fourth quarter, we expect wafer shipments and ASP trends to remain firm. Jason Wang, umC’s co-president, said capacity utilization at 8-inch and 12-inch facilities would continue to be at full capacity.

However, some OEM customers are still able to obtain sufficient 200 mm capacity to meet their requirements. Others have not been so lucky, especially car companies. Car sales plummeted in 2020, and many automakers stopped buying chips. By 2021, when the auto business rebounds, automakers are running low on chip inventories.

Then automakers went on a chip ordering spree. But chipmakers don’t have enough fabs capacity, which in turn has led to shortages of chips in cars and other areas. Many of these chips are manufactured in 200 mm fabs.

Demand for both automotive and non-automotive chips is expected to be strong in 2022. “As more companies start designing their own devices, foundries are also seeing high demand for small volume production of various devices,” says Onto’s Woo. “The automotive industry is a good example of the high demand for small volume production of various devices. Automotive companies such as Tesla, Ford, GENERAL Motors, Volkswagen and Hyundai have announced they will start designing their own semiconductor chips, and this trend is driving high demand for 200 mm wafer production.”

In addition to 200 mm and 300 mm, 100 mm and 150 mm fab capacity is also in demand. Many power semiconductors are produced in 150 mm fabs, especially those that use gallium nitride (GaN) and silicon carbide (SiC) materials. GaN and SiC power semiconductors are hot markets.

| 200 mm wafer factory cost

Meanwhile, on the manufacturing side, several foundries make chips in 200mm fabs for other manufacturers, each with a different process product. GlobalFoundries, Huahong, Samsung, SK Hynix, SkyWater, SMIC, Tower, TSMC, UMC, Vanguard and X-Fab are all contract suppliers with 200mm fabs.

Overall, 216 200 mm fabs are expected to be in operation in 2022, compared to 184 in 2016, according to SEMI. In terms of overall 200mm fab capacity, TSMC leads with a 10 percent share in 2020, followed by STMICROELECTRONICS (6 percent), UmC (6 percent), Infineon (6 percent), Texas Instruments (6 percent), and SMIC (5 percent), according to IC Insights.

Today, several companies are building new 200mm fabs or adding production lines to existing 200mm facilities. “When you look at new 200 mm production fabs, we currently have five new 200 mm fabs that will start construction in 2021 and 2022,” said SEMI analyst Christian Dieseldorff. These are planned by Rogue Valley Microdevices, OnMicro Electronics, Infineon and Ao Song.”

Meanwhile, of the existing 200 mm fabs, about 17 200 mm production lines are expected to come online in 2021-2024. “In 2021, Cree, China Resources Microelectronics, SMIC, Romen, Innoscience and SiEn,” Dieseldorff said.

That sounds like a lot of new 200 mm capacity, but it’s not enough. “Demand for traditional nodes remains strong. There is simply not enough 200 mm foundry capacity outside Of China, “said Gartner’s Wang. “Overall 300mm foundry supply will catch up with demand by 3Q22, while 200mm supply tightness will persist for many years.”

Clearly, OEM customers and IDMS need more than 200mm capacity. Chipmakers can solve this problem in a number of ways, including:

  • Build a new 200mm fabs.
  • Giga adds new production lines to existing fabs.
  • Gigs move some chips made in 200mm fabs to a larger 300mm plant.

Building new 200 mm fabs is an obvious solution. Several companies are expanding their 200 mm capacity, but this is an expensive proposition. According to SEMI, the cost of building a new 200 mm fab ranges from $450 million for a MEMS plant to $1.3 billion for a power semiconductor plant. “If you wanted to generalize, I would say the average cost of a new 50,000 WPM 200 mm fab is up to $1 billion, including construction and equipment,” SEMI’s Dieseldorff said. “It depends on capacity, product type and location.”

Even if you build a new 200 mm capacity, chipmakers run into another problem. It is difficult to find new 200mm devices on the market.

There is another option. Several chipmakers are moving some chip products from smaller 200 mm fabs to larger 300 mm plants. This applies to some but not all products. Customers must be willing to pay more for expensive 300 mm wafers. “Many customers don’t see the ROI rationale for migrating legacy chips to 300 mm fabs,” Wang said.

| looking for 200 mm equipment

In 200mm and 300mm fabs, a significant portion of the cost is spent on equipment. Each fab consists of an enclosed clean room equipped with various equipment types such as deposition systems, etching machines, inspection/metering equipment and lithography scanners.

With these and other device types, each chip type follows a different process flow. In any case, finding the right 200mm device is important. A defective system can lead to defective chips.

There are several different entities selling 200 mm tools, including equipment vendors, used/refurbished tool companies, brokers, auctioneers, and online sites.

Many of the entities enjoy a high reputation. But there are plenty of horror stories in the field, in which chip makers unknowingly buy used tools that don’t work or lack parts.

Bruce Kim, CEO of SurplusGlobal, said buyers of new or used devices should follow some simple rules to avoid any problems: 1) Start looking early; 2) Cooperate with reputable companies; 3) Be prepared to pay almost new prices for used 200mm tools.

However, if you need 200mm gears, the first step is to contact the equipment manufacturer. Some equipment vendors have built new 200mm systems with the latest features.

“Lead times for new 200 mm cutters are very long,” Kim said. “Also, the price of a brand new 200 mm tool is sometimes similar to the price of a brand new 300 mm tool.”

Some equipment vendors will also use used tools called cores and refurbish them. Sometimes, vendors are unable to refurbish a system because it is outdated or unusable. It’s also hard to find spare parts for outdated tools.

Contacting used/refurbished equipment suppliers is another option. Some carry 200 mm and/or 300 mm used gear. Some even make their own devices.

In general, it is difficult to find refurbished 200 mm systems from all vendors. According to SurplusGlobal, a secondary equipment provider, there are currently fewer than 250 200 mm core tools for all entities in the global market.

“We probably need 1,500 to 3,000 core tools to meet demand,” Kim said. “The 300mm tool is actually more accessible. However, refurbishment is a bit difficult due to supply chain problems. Interestingly, more and more 300mm tools are converting to 200mm systems.”

One way to comb through the 200mm market is to highlight some of the major process steps and discuss the equipment issues at hand. It is impossible to list all equipment vendors that provide 200mm tools. Highlighting a few vendors certainly underscores the challenge.

For all chips, the first step takes place at the silicon wafer supplier. These suppliers use a variety of equipment to manufacture raw silicon wafers in various diameter sizes, such as 150 mm, 200 mm, and 300 mm.

Demand for 200 mm wafers remains strong. “The top five wafer suppliers are not pursuing 200mm capacity expansion, so 200mm wafer supply is expected to remain tight in 2022,” said Sungho Yoon, senior research manager at SEMI.

At the same time, after the wafers are produced, they are shipped to chipmakers for processing at their fabs. For many logic chips, the first step is to deposit a layer of silicon dioxide on the wafer, followed by a layer of nitride. Depending on the application, other materials may be used.

Founded in 2015, The company takes PCB sample manufacturing as the entrance, and has the ability of fast delivery of high-end samples and small and medium-sized batches. Through the whole value chain services such as PCB manufacturing, BOM purchasing and PCB assembly, we provide customers with vertically integrated one-stop solutions for their products. We continue to contribute to the continuous innovation and development of China’s electronic technology, in order to build a first-class electronic product design and manufacturing outsourcing service provider. Pursue the material and spiritual happiness of all staff and make contributions to the progress and development of mankind and society.

We offer professional design solutions covering all aspects of PCB and PCBA layout, including the following board technologies.

Include:

  1. PCB design: free laminated design and impedance calculation.
  2. PCB manufacturing :PCB board making capacity of 1-48 layers, blind hole, copper thickness up to 12 ounces
  3. Component purchase :BOM purchase within 3 days
  4. PCB assembly: urgent sample and batch assembly processing, only 1-3 days
  5. Functional testing
  6. Electronic assembly global logistics
  7. Single, double-sided, multi-layer board
  8. Rigid circuits, flexible circuits and rigid-flexible circuits.
 
Semiconductor research firm Semiengineering: 8-inch wafer capacity shortage will last for years
Semiconductor research firm Semiengineering: 8-inch wafer capacity shortage will last for years
 
 

 

Scroll to Top